The Research of MOSFETs Based on Different Gate Stack Technology and Structure

Ieee account.

  • Change Username/Password
  • Update Address

Purchase Details

  • Payment Options
  • Order History
  • View Purchased Documents

Profile Information

  • Communications Preferences
  • Profession and Education
  • Technical Interests
  • US & Canada: +1 800 678 4333
  • Worldwide: +1 732 981 0060
  • Contact & Support
  • About IEEE Xplore
  • Accessibility
  • Terms of Use
  • Nondiscrimination Policy
  • Privacy & Opting Out of Cookies

A not-for-profit organization, IEEE is the world's largest technical professional organization dedicated to advancing technology for the benefit of humanity. © Copyright 2024 IEEE - All rights reserved. Use of this web site signifies your agreement to the terms and conditions.

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

  • View all journals
  • Explore content
  • About the journal
  • Publish with us
  • Sign up for alerts
  • Published: 08 February 2021

A hybrid III–V tunnel FET and MOSFET technology platform integrated on silicon

  • Clarissa Convertino   ORCID: orcid.org/0000-0002-7842-6066 1 ,
  • Cezar B. Zota 1 ,
  • Heinz Schmid   ORCID: orcid.org/0000-0002-0228-4268 1 ,
  • Daniele Caimi 1 ,
  • Lukas Czornomaz 1 ,
  • Adrian M. Ionescu   ORCID: orcid.org/0000-0003-2314-8887 2 &
  • Kirsten E. Moselund   ORCID: orcid.org/0000-0003-4713-2046 1  

Nature Electronics volume  4 ,  pages 162–170 ( 2021 ) Cite this article

4926 Accesses

60 Citations

25 Altmetric

Metrics details

  • Electrical and electronic engineering
  • Materials for devices
  • Nanoscale devices
  • Scientific community

Tunnel field-effect transistors (TFETs) rely on quantum-mechanical tunnelling and, unlike conventional metal–oxide–semiconductor field-effect transistors (MOSFETs), require less than 60 mV of gate voltage swing to induce one order of magnitude variation in the drain current at ambient temperature. III–V heterostructure TFETs are promising for low-power applications, but are outperformed by MOSFETs in terms of speed and energy efficiency when high performance is required at higher drive voltages. Hybrid technologies—combining both TFETs and MOSFETs—could enable low-power and high-performance applications, but require the co-integration of different materials in a scalable complementary metal–oxide–semiconductor (CMOS) platform. Here, we report a scaled III–V hybrid TFET–MOSFET technology on silicon that achieves a minimum subthreshold slope of 42 mV dec −1 for TFET devices and 62 mV dec −1 for MOSFET devices. The InGaAs/GaAsSb TFETs are co-integrated with the InGaAs MOSFETs on the same silicon substrate by means of a CMOS-compatible replacement-metal-gate fabrication flow, allowing independent optimization of both device types.

This is a preview of subscription content, access via your institution

Access options

Access Nature and 54 other Nature Portfolio journals

Get Nature+, our best-value online-access subscription

24,99 € / 30 days

cancel any time

Subscribe to this journal

Receive 12 digital issues and online access to articles

111,21 € per year

only 9,27 € per issue

Rent or buy this article

Prices vary by article type

Prices may be subject to local taxes which are calculated during checkout

ieee research papers on mosfet

Similar content being viewed by others

ieee research papers on mosfet

The future transistors

Wei Cao, Huiming Bu, … Kaustav Banerjee

ieee research papers on mosfet

Heterogeneous complementary field-effect transistors based on silicon and molybdenum disulfide

Ling Tong, Jing Wan, … Peng Zhou

ieee research papers on mosfet

Impact of device scaling on the electrical properties of MoS2 field-effect transistors

Goutham Arutchelvan, Quentin Smets, … Iuliana Radu

Data availability

The data that support the plots within this paper and other findings of this study are available from the corresponding author upon reasonable request.

De, V., Vangal, S. & Krishnamurthy, R. Near threshold voltage (NTV) computing: computing in the dark silicon era. IEEE Des. Test 34 , 24–30 (2016).

Article   Google Scholar  

Ionescu, A. M. & Riel, H. Tunnel field-effect transistors as energy-efficient electronic switches. Nature 479 , 329–337 (2011).

Salahuddin, S. & Datta, S. Use of negative capacitance to provide voltage amplification for low power nanoscale devices. Nano Lett. 8 , 405–410 (2008).

Ionescu, A. M. Negative capacitance gives a positive boost. Nat. Nanotechnol. 13 , 7–8 (2018).

Salvatore, G. A., Bouvet, D. & Ionescu, A. M. Demonstration of subthreshold swing smaller than 60mV/decade in Fe-FET with P (VDF-TrFE)/ SiO 2 gate stack. Nano 8 , 479–481 (2008).

Google Scholar  

Si, M. et al. Steep-slope hysteresis-free negative capacitance MoS 2 transistors. Nat. Nanotechnol. 13 , 14–28 (2017).

Chen, F. et al. Integrated circuit design with NEM relays. In 2008 IEEE/ACM International Conference on Computer-Aided Design , Digest of Technical Papers , ICCAD 750–757 (IEEE, 2008).

Gopalakrishnan, K., Woo, R., Jungemann, C., Griffin, P. B. & Plummer, J. D. Impact ionization MOS (I-MOS)—Part II: experimental results. IEEE Trans. Electron Devices 52 , 77–84 (2005).

Abelein, U. et al. A novel vertical impact ionisation MOSFET (I-MOS) concept. In Proc. 2006 25th International Conference on Microelectronics , MIEL 2006 127–130 (IEEE, 2006).

Avci, U. E., Morris, D. H. & Young, I. A. Tunnel field-effect transistors: prospects and challenges. IEEE J. Electron Devices Soc. 3 , 88–95 (2015).

Webster, J. G., Verreck, D., Groeseneken, G. & Verhulst, A. in Wiley Encyclopedia of Electrical and Electronics Engineering 1–24 (John Wiley & Sons, 2016); https://doi.org/10.1002/047134608x.w8333

Seabaugh, B. A. C. & Zhang, Q. Low-voltage tunnel transistors for beyond CMOS logic. Proc. IEEE 98 , 2095–2110 (2010).

Choi, W. Y., Park, B., Lee, J. D. & Liu, T. K. Tunneling field-effect transistors (TFETs) with subthreshold swing (SS) less than 60 mV/dec. IEEE Electron Device Lett. 28 , 743–745 (2007).

Jeon, K. et al. Si tunnel transistors with a novel silicided source and 46 mV/dec swing. In Symposium on VLSI Technology Digest of Technical Papers 121–122 (IEEE, 2010).

Knoll, L. et al. Inverters with strained Si nanowire complementary tunnel field-effect transistors. IEEE Electron Device Lett. 34 , 813–815 (2013).

del Alamo, J. A. Nanometre-scale electronics with III–V compound semiconductors. Nature 479 , 317–323 (2011).

Sant, S. & Schenk, A. Trap-tolerant device geometry for InAs/Si pTFETs. IEEE Electron Device Lett. 38 , 1363–1366 (2017).

Sant, S. et al. Lateral InAs/Si p-type tunnel FETs integrated on Si—Part 2: simulation study of the impact of interface traps. IEEE Trans. Electron Devices 63 , 4240–4247 (2016).

Kim, D. et al. Low power circuit design based on heterojunction tunneling transistors (HETTs). In Proc. International Symposium on Low Power Electronics and Design 219–224 (ACM Press, 2009).

Young, I. A., Avci, U. E. & Morris, D. H. Tunneling field effect transistors: device and circuit considerations for energy efficient logic opportunities. In Proc. 2015 IEEE International Electron Devices Meeting ( IEDM ) 22.1.1–22.1.4 (IEEE, 2015); https://doi.org/10.1109/IEDM.2015.7409755

Saripalli, V., Mishra, A., Datta, S. & Narayanan, V. An energy-efficient heterogeneous CMP based on hybrid TFET-CMOS cores. In Proc. 2011 48th ACM / EDAC / IEEE Design Automation Conference 729–734 (IEEE, 2011); https://doi.org/10.1145/2024724.2024889

Memisevic, E., Svensson, J., Hellenbrand, M., Lind, E. & Wernersson, L. E. Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S  = 48 mV/decade and I on  = 10 µA/µm for I off  = 1 nA/µm at V DS  = 0.3 V. In Proc. IEEE International Electron Devices Meeting 19.1.1–19.1.4 (IEEE, 2016); https://doi.org/10.1109/IEDM.2016.7838450

Alian, A. et al. InGaAs tunnel FET with sub-nanometer EOT and sub-60 mV/dec sub-threshold swing at room temperature. Appl. Phys. Lett. 109 , 243502 (2016).

Zota, C. B. et al. High performance quantum well InGaAs-On-Si MOSFETs with sub-20 nm gate length for RF applications. In Proc. 2018 IEEE International Electron Devices Meeting ( IEDM ) 39.4.1–39.4.4 (IEEE, 2018); https://doi.org/10.1109/IEDM.2018.8614530

Zota, C. B. et al. InGaAs-on-insulator MOSFETs featuring scaled logic devices and record RF performance. In 2018 Symposium on VLSI Technology Digest of Technical Papers 165–166 (IEEE, 2018).

Czornomaz, L. et al. Co-integration of InGaAs n- and SiGe p-MOSFETs into digital CMOS circuits using hybrid dual-channel ETXOI substrates. In Proc. 2013 International Electron Devices Meeting 2.8.1–2.8.4 (IEEE, 2013); https://doi.org/10.1109/IEDM.2013.6724548

Cutaia, D. et al. Complementary III–V heterojunction lateral NW Tunnel FET technology on Si. In 2016 Symposium on VLSI Technology Digest of Technical Papers 1–2 (IEEE, 2016).

Convertino, C. et al. Sub-thermionic scalable III–V tunnel field-effect transistors integrated on Si (100). In Proc. 2019 IEEE International Electron Devices Meeting ( IEDM ) 37.1.1–37.1.4 (IEEE, 2019).

Gopireddy, B., Skarlatos, D., Zhu, W. & Torrellas, J. HetCore: TFET-CMOS hetero-device architecture for CPUs and GPUs. In Proc. 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture ( ISCA ) 802–815 (IEEE, 2018); https://doi.org/10.1109/ISCA.2018.00072

Convertino, C., Zota, C. B., Schmid, H., Ionescu, A. M. & Moselund, K. E. III–V heterostructure tunnel field-effect transistor. J. Phys. Condens. Matter 30 , 264005 (2018).

Alian, A. et al. Record 47 mV/dec top-down vertical nanowire InGaAs/GaAsSb tunnel FETs. In 2018 Symposium on VLSI Technology Digest of Technical Papers 133–134 (IEEE, 2018).

Smets, Q. et al. Calibration of the effective tunneling bandgap in GaAsSb/InGaAs for improved TFET performance prediction. IEEE Trans. Electron Devices 63 , 4248–4254 (2016).

Convertino, C. et al. InGaAs-on-insulator FinFETs with reduced off-current and record performance. In Proc. 2018 IEEE International Electron Devices Meeting ( IEDM ) 39.2.1–39.2.4 (IEEE, 2018); https://doi.org/10.1109/IEDM.2018.8614640

Daix, N. et al. Towards large size substrates for III–V co-integration made by direct wafer bonding on Si. APL Mater. 2 , 086104 (2014).

Lin, J., Zhao, X., Antoniadis, D. A. & del Alamo, J. A. A novel digital etch technique for deeply scaled III–V MOSFETs. IEEE Electron Device Lett. 35 , 440–442 (2014).

Convertino, C. et al. High performance InGaAs FinFETs with raised source/drain extensions. Jpn. J. Appl. Phys. 58 , 080901 (2019).

Rosca, T., Saeidi, A., Memisevic, E., Wernersson, L. E. & Ionescu, A. M. An experimental study of heterostructure tunnel FET nanowire arrays: digital and analog figures of merit from 300 K to 10 K. In Proc. 2018 IEEE International Electron Devices Meeting ( IEDM ) 13.5.1–13.5.4 (IEEE, 2019).

Ganjipour, B. et al. High current density Esaki tunnel diodes based on GaSb-InAsSb heterostructure nanowires. Nano Lett. 11 , 4222–4226 (2011).

Agarwal, S. & Yablonovitch, E. Band-edge steepness obtained from Esaki/backward diode current—voltage characteristics. IEEE Trans. Electron Devices 61 , 1488–1493 (2014).

Memisevic, E., Lind, E., Hellenbrand, M., Svensson, J. & Wernersson, L.-E. Impact of band-tails on the subthreshold swing of III–V tunnel field-effect transistor. IEEE Electron Device Lett. 3106 , 1 (2017).

Sajjad, R. N., Chern, W., Hoyt, J. L. & Antoniadis, D. A. Trap assisted tunneling and its effect on subthreshold swing of tunnel FETs. IEEE Trans. Electron Devices 63 , 4380–4387 (2016).

Schenk, A. et al. The impact of hetero-junction and oxide-interface traps on the performance of InAs/Si and InAs/GaAsSb nanowire tunnel FETs. In Proc. 2017 International Conference on Simulation of Semiconductor Processes and Devices ( SISPAD ) 273–276 (IEEE, 2017).

Alian, A. et al. InGaAs tunnel FET with sub-nanometer EOT and sub-60-mV/dec sub-threshold swing at room temperature. Appl. Phys. Lett. 109 , 243502 (2016).

Bessire, C. D. et al. Trap-assisted tunneling in Si-InAs nanowire heterojunction tunnel diodes. Nano Lett. 11 , 4195–4199 (2011).

Verhulst, A. S., Vandenberghe, W. G., Maex, K. & Groeseneken, G. Tunnel field-effect transistor without gate-drain overlap. Appl. Phys. Lett. 91 , 053102 (2007).

Le Royer, C. & Mayer, F. Exhaustive experimental study of tunnel field effect transistors (TFETs): from materials to architecture. In Proc. 10th International Conference on Ultimate Integration of Silicon 53–56 (IEEE, 2009); https://doi.org/10.1109/ULIS.2009.4897537

Appenzeller, J. et al. Toward nanowire electronics. IEEE Trans. Electron Devices 55 , 2827–2845 (2008).

Zhao, X., Member, S., Vardi, A. & Alamo, J. A. Sub-thermal subthreshold characteristics in top–down InGaAs/InAs heterojunction vertical nanowire tunnel FETs. IEEE Electron Device Lett. 38 , 855–858 (2017).

Noguchi, M. et al. High I on / I off and low subthreshold slope planar-type InGaAs tunnel FETs with Zn-diffused source junctions. In 2013 IEEE International Electron Devices Meeting (IEEE, 2013); https://ieeexplore.ieee.org/document/6724707 .

Dewey, G. et al. Fabrication, characterization and physics of III–V heterojunction tunneling field effect transistors (H-TFET) for steep sub-threshold swing. Tech. Dig. IEDM 3 , 785–788 (2011).

Convertino, C., Zota, C. B., Caimi, D., Sousa, M. & Czornomaz, L. InGaAs FinFETs 3-D sequentially integrated on FDSOI Si CMOS with record perfomance. IEEE J. Electron Devices Soc. 7 , 1170–1174 (IEEE, 2019); https://doi.org/10.1109/JEDS.2019.2928471

Ahn, D. H., Ji, S. M., Takenaka, M. & Takagi, S. Performance improvement of In x Ga 1− x As tunnel FETs with quantum well and EOT scaling. In 2016 IEEE Symposium on VLSI Technology (IEEE, 2016); https://ieeexplore.ieee.org/abstract/document/7573443

Download references

Acknowledgements

This work was partially funded by the European FP7 programme under grant no. 619509 (E2SWITCH) and by the Horizon 2020 programmes under grant nos. 688784 (INSIGHT) and 871764 (SEQUENCE). We acknowledge M. Sousa, Y. Baumgartner, P. Staudinger and C. Marty for helpful technical discussions and support, as well as the entire IBM BRNC operations team.

Author information

Authors and affiliations.

IBM Research Europe, Rüschlikon, Switzerland

Clarissa Convertino, Cezar B. Zota, Heinz Schmid, Daniele Caimi, Lukas Czornomaz & Kirsten E. Moselund

EPFL, Lausanne, Switzerland

  • Adrian M. Ionescu

You can also search for this author in PubMed   Google Scholar

Contributions

C.C. carried out the experiments and wrote the initial manuscript. C.B.Z. contributed to transistor fabrication and actively participated in the experimental characterization. C.C., H.S. and K.E.M. conceived the concept for the TFET integration. L.C., C.C. and C.B.Z. developed the transistor fabrication process. L.C. and D.C. developed the wafer bonding approach. C.C. developed the material epitaxial growth. K.E.M. and A.M.I. coordinated and supervised the whole work. All authors discussed the final results and revised and commented on the submitted manuscript.

Corresponding author

Correspondence to Clarissa Convertino .

Ethics declarations

Competing interests.

The authors declare no competing interests.

Additional information

Publisher’s note Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Supplementary information

Supplementary information.

Supplementary Figs. 1–4.

Rights and permissions

Reprints and permissions

About this article

Cite this article.

Convertino, C., Zota, C.B., Schmid, H. et al. A hybrid III–V tunnel FET and MOSFET technology platform integrated on silicon. Nat Electron 4 , 162–170 (2021). https://doi.org/10.1038/s41928-020-00531-3

Download citation

Received : 12 May 2020

Accepted : 17 December 2020

Published : 08 February 2021

Issue Date : February 2021

DOI : https://doi.org/10.1038/s41928-020-00531-3

Share this article

Anyone you share the following link with will be able to read this content:

Sorry, a shareable link is not currently available for this article.

Provided by the Springer Nature SharedIt content-sharing initiative

This article is cited by

Design and analysis of si/gasb htfet-based 7t sram cell for ultra-low voltage applications.

  • Sai Lakshmi Prasanth Kannam
  • Rasheed Gadarapulla
  • Rajasekhar Nagulapalli

Silicon (2024)

A new line tunneling SiGe/Si iTFET with control gate for leakage suppression and subthreshold swing improvement

  • Jyi-Tsong Lin
  • Shao-Cheng Weng

Discover Nano (2023)

Vertical GeSn nanowire MOSFETs for CMOS beyond silicon

  • Mingshan Liu
  • Yannik Junk
  • Qing-Tai Zhao

Communications Engineering (2023)

Ferroelectric gating of two-dimensional semiconductors for the integration of steep-slope logic and neuromorphic devices

  • Sadegh Kamaei

Nature Electronics (2023)

Reconfigurable signal modulation in a ferroelectric tunnel field-effect transistor

  • Zhongyunshen Zhu
  • Anton E. O. Persson
  • Lars-Erik Wernersson

Nature Communications (2023)

Quick links

  • Explore articles by subject
  • Guide to authors
  • Editorial policies

Sign up for the Nature Briefing newsletter — what matters in science, free to your inbox daily.

ieee research papers on mosfet

Hey there, human — the robots need you! Vote for IEEE’s Robots Guide in the Webby Awards.

For IEEE Members

Ieee spectrum, follow ieee spectrum, support ieee spectrum, enjoy more free content and benefits by creating an account, saving articles to read later requires an ieee spectrum account, the institute content is only available for members, downloading full pdf issues is exclusive for ieee members, downloading this e-book is exclusive for ieee members, access to spectrum 's digital edition is exclusive for ieee members, following topics is a feature exclusive for ieee members, adding your response to an article requires an ieee spectrum account, create an account to access more content and features on ieee spectrum , including the ability to save articles to read later, download spectrum collections, and participate in conversations with readers and editors. for more exclusive content and features, consider joining ieee ., join the world’s largest professional organization devoted to engineering and applied sciences and get access to all of spectrum’s articles, archives, pdf downloads, and other benefits. learn more →, join the world’s largest professional organization devoted to engineering and applied sciences and get access to this e-book plus all of ieee spectrum’s articles, archives, pdf downloads, and other benefits. learn more →, access thousands of articles — completely free, create an account and get exclusive content and features: save articles, download collections, and talk to tech insiders — all free for full access and benefits, join ieee as a paying member..

3D-Stacked CMOS Takes Moore’s Law to New Heights

When transistors can’t get any smaller, the only direction is up

An image of stacked squares with yellow flat bars through them.

Perhaps the most far-reaching technological achievement over the last 50 years has been the steady march toward ever smaller transistors , fitting them more tightly together, and reducing their power consumption. And yet, ever since the two of us started our careers at Intel more than 20 years ago, we’ve been hearing the alarms that the descent into the infinitesimal was about to end. Yet year after year, brilliant new innovations continue to propel the semiconductor industry further.

Along this journey, we engineers had to change the transistor’s architecture as we continued to scale down area and power consumption while boosting performance. The “planar” transistor designs that took us through the last half of the 20th century gave way to 3D fin-shaped devices by the first half of the 2010s. Now, these too have an end date in sight, with a new gate-all-around (GAA) structure rolling into production soon. But we have to look even further ahead because our ability to scale down even this new transistor architecture, which we call RibbonFET, has its limits.

This article is part of our special report on the 75th anniversary of the invention of the transistor .

So where will we turn for future scaling? We will continue to look to the third dimension. We’ve created experimental devices that stack atop each other, delivering logic that is 30 to 50 percent smaller. Crucially, the top and bottom devices are of the two complementary types, NMOS and PMOS, that are the foundation of all the logic circuits of the last several decades. We believe this 3D-stacked complementary metal-oxide semiconductor (CMOS), or CFET (complementary field-effect transistor), will be the key to extending Moore’s Law into the next decade.

The Evolution of the Transistor

Continuous innovation is an essential underpinning of Moore’s Law , but each improvement comes with trade-offs. To understand these trade-offs and how they’re leading us inevitably toward 3D-stacked CMOS, you need a bit of background on transistor operation.

Every metal-oxide-semiconductor field-effect transistor, or MOSFET, has the same set of basic parts: the gate stack, the channel region, the source, and the drain. The source and drain are chemically doped to make them both either rich in mobile electrons ( n -type) or deficient in them ( p -type). The channel region has the opposite doping to the source and drain.

In the planar version in use in advanced microprocessors up to 2011, the MOSFET’s gate stack is situated just above the channel region and is designed to project an electric field into the channel region. Applying a large enough voltage to the gate (relative to the source) creates a layer of mobile charge carriers in the channel region that allows current to flow between the source and drain.

As we scaled down the classic planar transistors, what device physicists call short-channel effects took center stage. Basically, the distance between the source and drain became so small that current would leak across the channel when it wasn’t supposed to, because the gate electrode struggled to deplete the channel of charge carriers. To address this, the industry moved to an entirely different transistor architecture called a FinFET . It wrapped the gate around the channel on three sides to provide better electrostatic control.

Transistor Evolution

Intel introduced its FinFETs in 2011, at the 22-nanometer node, with the third-generation Core processor, and the device architecture has been the workhorse of Moore’s Law ever since. With FinFETs, we could operate at a lower voltage and still have less leakage, reducing power consumption by some 50 percent at the same performance level as the previous-generation planar architecture. FinFETs also switched faster, boosting performance by 37 percent. And because conduction occurs on both vertical sides of the “fin,” the device can drive more current through a given area of silicon than can a planar device, which only conducts along one surface.

However, we did lose something in moving to FinFETs. In planar devices, the width of a transistor was defined by lithography, and therefore it is a highly flexible parameter. But in FinFETs, the transistor width comes in the form of discrete increments—adding one fin at a time–a characteristic often referred to as fin quantization. As flexible as the FinFET may be, fin quantization remains a significant design constraint. The design rules around it and the desire to add more fins to boost performance increase the overall area of logic cells and complicate the stack of interconnects that turn individual transistors into complete logic circuits. It also increases the transistor’s capacitance, thereby sapping some of its switching speed. So, while the FinFET has served us well as the industry’s workhorse, a new, more refined approach is needed. And it’s that approach that led us to the 3D transistors we’re introducing soon.

This advance, the RibbonFET, is our first new transistor architecture since the FinFET’s debut 11 years ago. In it, the gate fully surrounds the channel, providing even tighter control of charge carriers within channels that are now formed by nanometer-scale ribbons of silicon. With these nanoribbons (also called nanosheets) , we can again vary the width of a transistor as needed using lithography.

With the quantization constraint removed, we can produce the appropriately sized width for the application. That lets us balance power, performance, and cost. What’s more, with the ribbons stacked and operating in parallel, the device can drive more current, boosting performance without increasing the area of the device.

We see RibbonFETs as the best option for higher performance at reasonable power, and we will be introducing them in 2024 along with other innovations, such as PowerVia, our version of backside power delivery , with the Intel 20A fabrication process.

Stacked CMOS

One commonality of planar, FinFET, and RibbonFET transistors is that they all use CMOS technology, which, as mentioned, consists of n -type (NMOS) and p -type (PMOS) transistors. CMOS logic became mainstream in the 1980s because it draws significantly less current than do the alternative technologies, notably NMOS-only circuits. Less current also led to greater operating frequencies and higher transistor densities.

To date, all CMOS technologies place the standard NMOS and PMOS transistor pair side by side. But in a keynote at the IEEE International Electron Devices Meeting (IEDM) in 2019 , we introduced the concept of a 3D-stacked transistor that places the NMOS transistor on top of the PMOS transistor. The following year, at IEDM 2020, we presented the design for the first logic circuit using this 3D technique , an inverter. Combined with appropriate interconnects, the 3D-stacked CMOS approach effectively cuts the inverter footprint in half, doubling the area density and further pushing the limits of Moore’s Law.

Taking advantage of the potential benefits of 3D stacking means solving a number of process integration challenges, some of which will stretch the limits of CMOS fabrication.

We built the 3D-stacked CMOS inverter using what is known as a self-aligned process, in which both transistors are constructed in one manufacturing step. This means constructing both n -type and p -type sources and drains by epitaxy—crystal deposition—and adding different metal gates for the two transistors. By combining the source-drain and dual-metal-gate processes, we are able to create different conductive types of silicon nanoribbons ( p -type and n -type) to make up the stacked CMOS transistor pairs. It also allows us to adjust the device’s threshold voltage—the voltage at which a transistor begins to switch—separately for the top and bottom nanoribbons.

In CMOS logic, NMOS and PMOS devices usually sit side by side on chips. An early prototype has NMOS devices stacked on top of PMOS devices, compressing circuit sizes.

How do we do all that? The self-aligned 3D CMOS fabrication begins with a silicon wafer. On this wafer, we deposit repeating layers of silicon and silicon germanium, a structure called a superlattice. We then use lithographic patterning to cut away parts of the superlattice and leave a finlike structure. The superlattice crystal provides a strong support structure for what comes later.

Next, we deposit a block of “dummy” polycrystalline silicon atop the part of the superlattice where the device gates will go, protecting them from the next step in the procedure. That step, called the vertically stacked dual source/drain process, grows phosphorous-doped silicon on both ends of the top nanoribbons (the future NMOS device) while also selectively growing boron-doped silicon germanium on the bottom nanoribbons (the future PMOS device). After this, we deposit dielectric around the sources and drains to electrically isolate them from one another. The latter step requires that we then polish the wafer down to perfect flatness.

Finally, we construct the gate. First, we remove that dummy gate we’d put in place earlier, exposing the silicon nanoribbons. We next etch away only the silicon germanium, releasing a stack of parallel silicon nanoribbons, which will be the channel regions of the transistors. We then coat the nanoribbons on all sides with a vanishingly thin layer of an insulator that has a high dielectric constant. The nanoribbon channels are so small and positioned in such a way that we can’t effectively dope them chemically as we would with a planar transistor. Instead, we use a property of the metal gates called the work function to impart the same effect. We surround the bottom nanoribbons with one metal to make a p -doped channel and the top ones with another to form an n -doped channel. Thus, the gate stacks are finished off and the two transistors are complete.

The process might seem complex, but it’s better than the alternative—a technology called sequential 3D-stacked CMOS. With that method, the NMOS devices and the PMOS devices are built on separate wafers, the two are bonded, and the PMOS layer is transferred to the NMOS wafer. In comparison, the self-aligned 3D process takes fewer manufacturing steps and keeps a tighter rein on manufacturing cost, something we demonstrated in research and reported at IEDM 2019.

Importantly, the self-aligned method also circumvents the problem of misalignment that can occur when bonding two wafers. Still, sequential 3D stacking is being explored to facilitate integration of silicon with nonsilicon channel materials, such as germanium and III-V semiconductor materials. These approaches and materials may become relevant as we look to tightly integrate optoelectronics and other functions on a single chip.

Making all the needed connections to 3D-stacked CMOS is a challenge. Power connections will need to be made from below the device stack. In this design, the NMOS device [top] and PMOS device [bottom] have separate source/drain contacts, but both devices have a gate in common.

The new self-aligned CMOS process, and the 3D-stacked CMOS it creates, work well and appear to have substantial room for further miniaturization. At this early stage, that’s highly encouraging. Devices having a gate length of 75 nm demonstrated both the low leakage that comes with excellent device scalability and a high on-state current. Another promising sign: We’ve made wafers where the smallest distance between two sets of stacked devices is only 55 nm . While the device performance results we achieved are not records in and of themselves, they do compare well with individual nonstacked control devices built on the same wafer with the same processing.

In parallel with the process integration and experimental work, we have many ongoing theoretical, simulation, and design studies underway looking to provide insight into how best to use 3D CMOS. Through these, we’ve found some of the key considerations in the design of our transistors. Notably, we now know that we need to optimize the vertical spacing between the NMOS and PMOS—if it’s too short it will increase parasitic capacitance, and if it’s too long it will increase the resistance of the interconnects between the two devices. Either extreme results in slower circuits that consume more power.

Many design studies, such as one by TEL Research Center America presented at IEDM 2021 , focus on providing all the necessary interconnects in the 3D CMOS’s limited space and doing so without significantly increasing the area of the logic cells they make up. The TEL research showed that there are many opportunities for innovation in finding the best interconnect options. That research also highlights that 3D-stacked CMOS will need to have interconnects both above and below the devices. This scheme, called buried power rails , takes the interconnects that provide power to logic cells but don’t carry data and removes them to the silicon below the transistors. Intel’s PowerVIA technology, which does just that and is scheduled for introduction in 2024, will therefore play a key role in making 3D-stacked CMOS a commercial reality.

The Future of Moore’s Law

With RibbonFETs and 3D CMOS, we have a clear path to extend Moore’s Law beyond 2024. In a 2005 interview in which he was asked to reflect on what became his law, Gordon Moore admitted to being “periodically amazed at how we’re able to make progress. Several times along the way, I thought we reached the end of the line, things taper off, and our creative engineers come up with ways around them.”

With the move to FinFETs, the ensuing optimizations, and now the development of RibbonFETs and eventually 3D-stacked CMOS, supported by the myriad packaging enhancements around them, we’d like to think Mr. Moore will be amazed yet again.

The Transistor at 75

The past, present, and future of the modern world’s most important invention

How the First Transistor Worked

Even its inventors didn’t fully understand the point-contact transistor

The Ultimate Transistor Timeline

The transistor’s amazing evolution from point contacts to quantum tunnels

The State of the Transistor in 3 Charts

In 75 years, it’s become tiny, mighty, ubiquitous, and just plain weird

The Transistor of 2047: Expert Predictions

What will the device be like on its 100th anniversary?

The Future of the Transistor Is Our Future

Nothing but better devices can tackle humanity’s growing challenges

John Bardeen’s Terrific Transistorized Music Box

This simple gadget showed off the magic of the first transistor

  • How the Father of FinFETs Helped Save Moore's Law - IEEE Spectrum ›
  • A Better Way to Measure Progress in Semiconductors - IEEE Spectrum ›
  • The X-Ray Tech That Reveals Chip Designs - IEEE Spectrum ›
  • Meet the Forksheet: Imec’s In-Between Transistor - IEEE Spectrum ›
  • Monolithic 3D: an alternative to advanced CMOS scaling ... ›
  • Monolithic 3D CMOS Using Layered Semiconductors - Sachid ... ›

Marko Radosavljevic is a principal engineer in the Components Research Group at Intel.

Jack Kavalieros is a Fellow and vice president of device and integration in the Components Research Group at Intel.

Kim Hartman

In the early '80s Tektronix implemented a 5 layer ECL bipolar IC process capable of high-speed 8-bit A/D conversion at 500MS/s with remarkable effective bits. The process technology was problematic leading to zero yield in some batches. These hot LBT "little bitty transistor" devices made for huge advances in realizing high bandwidth transient recorders as well as paving a way into real-time digital spectrum analysis. Nearly 40 years of advancement, it's about time to go vertical.

E-Bikes Are Growing Up, Finding Jobs, Still Having Fun

The tiny ultrabright laser that can melt steel, getting the grid to net zero.

Comparative Analysis of MOSFET, FINFET and GAAFET Devices Using Different Substrate and Gate Oxide Materials

  • Conference paper
  • First Online: 25 May 2021
  • Cite this conference paper

Book cover

  • Ritik Koul 39 ,
  • Mukul Yadav 39 &
  • Rajeshwari Pandey 39  

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 756))

1465 Accesses

1 Citations

This work investigates the efficacy of the different substrate and oxide materials for the three devices—MOSFET, FINFET and GAAFET. In this respect, the analog performance parameters of these devices such as on-current ( I on ), off-current ( I off ), current switching ratio ( I on / I off ) and sub-threshold swing (SS) are examined for the different substrate and oxide materials used in the modeling of the devices. Different substrate materials used in this work are Si, SiGe, GaAs and SiC 3 C, and different oxide materials used are SiO 2 and HfO 2 . The simulation is done using the COGENDA VisualTCAD tool.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
  • Available as EPUB and PDF
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
  • Durable hardcover edition

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

P.-F. Wang, K. Hilsenbeck, T. Nirschl, M. Oswald, C. Stepper, M. Weis et al., Complementary tunneling transistor for low power application. Solid-State Electron. 48 , 2281–2286 (2004)

Article   Google Scholar  

J. Madan, K. Karwal, R. Chaujar, Performance analysis of heterojunction DMDG-TFET with different source materials for analog application, in 2018 2nd International Conference on Trends in Electronics and Informatics (ICOEI) , Tirunelveli, 2018, pp. 1474–1478

Google Scholar  

A. Sarkar, S. Halim, A. Ghosh, S. Sarkar, Implementation of PMN-PT/Ni based NOR Gate with biaxial anisotropy off ultra low energy dissipation. J. Nanoelectron. Optoelectron. 12 , 1–6 (2017)

A. Khakifirooz, K. Cheng, T. Nagumo, N. Loubet, T. Adam, A. Reznicek, J. Kuss, D. Shahrjerdi, R. Sreenivasan, S. Ponoth, H. He, Strain engineered extremely thin SOI (ETSOI) for high-performance CMOS, in 2012 Symposium on VLSI Technology (VLSIT) (IEEE, 2012), pp. 117–118

P. Banerjee, P. Saha, D.K. Dash, A. Ghosh, S.K. Sarkar, Analytical modeling and performance analysis of graded channel strained dual-material double gate MOSFET, in 4th International Conference on Computing Communication and Automation 2018 (ICCCA)

Y. Zhuo, et al., Statistical variability analysis in vertically stacked gate all around FETs at 7 nm technology, in 2018 14th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT) , Qingdao, 2018, pp. 1–3

M.S. Yeh, Y.J. Lee, M.F. Hung, K.C. Liu, Y.C. Wu, High-performance gate-all-around poly-Si thin-film transistors by microwave annealing with NH3 plasma passivation. IEEE Trans. Nanotechnol. 12 , 636

R. Saha, B. Bhowmick, S. Baishya, Effects of temperature on electrical parameters in GaAs SOI FinFET and application as digital inverter, in 2017 Devices for Integrated Circuit (DevIC) , Kalyani, 2017, pp. 462–466

Download references

Author information

Authors and affiliations.

Delhi Technological University, New Delhi, India

Ritik Koul, Mukul Yadav & Rajeshwari Pandey

You can also search for this author in PubMed   Google Scholar

Editor information

Editors and affiliations.

Department of Electrical Engineering, University of Malaya, Kuala Lumpur, Malaysia

Saad Mekhilef

University of Science and Technology, Reshetnev Siberian State, Krasnoyarsk, Russia

Margarita Favorskaya

Department of Electrical Engineering, Indian Institute of Technology (BHU), Varanasi, Uttar Pradesh, India

R. K. Pandey

School of Electrical and Electronics Engineering, Galgotias University, Greater Noida, Uttar Pradesh, India

Rabindra Nath Shaw

Rights and permissions

Reprints and permissions

Copyright information

© 2021 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this paper

Cite this paper.

Koul, R., Yadav, M., Pandey, R. (2021). Comparative Analysis of MOSFET, FINFET and GAAFET Devices Using Different Substrate and Gate Oxide Materials. In: Mekhilef, S., Favorskaya, M., Pandey, R.K., Shaw, R.N. (eds) Innovations in Electrical and Electronic Engineering. Lecture Notes in Electrical Engineering, vol 756. Springer, Singapore. https://doi.org/10.1007/978-981-16-0749-3_31

Download citation

DOI : https://doi.org/10.1007/978-981-16-0749-3_31

Published : 25 May 2021

Publisher Name : Springer, Singapore

Print ISBN : 978-981-16-0748-6

Online ISBN : 978-981-16-0749-3

eBook Packages : Energy Energy (R0)

Share this paper

Anyone you share the following link with will be able to read this content:

Sorry, a shareable link is not currently available for this article.

Provided by the Springer Nature SharedIt content-sharing initiative

  • Publish with us

Policies and ethics

  • Find a journal
  • Track your research

MOSFET Memory Circuits

Metal-oxide-semiconductor first effect transistors (MOSFETs) are currently being used in a variety of memory applications. The requirements of memory usage and the characteristics of MOSFET devices and technology have led to a number of unique circuits for these applications. Organization and design considerations of memory systems using MOSFET devices are reviewed, and examples of specific circuits are presented and analyzed. These include random access cells, shift registers. read only storage, and on-chip support circuits; both complementary and noncomplementary circuits are discussed. © 1971, IEEE. All rights reserved.

Publication

  • Lewis M. Terman
  • Computer Science

A spectral based technique for generating confidence intervals from simulation outputs

Quantum computing for finance: state-of-the-art and future prospects, food steganography with olfactory white.

IMAGES

  1. (PDF) Analysis and Design of MOSFET based Amplifier in Different

    ieee research papers on mosfet

  2. (PDF) Hot-Electron-Induced MOSFET Degradation—Model, Monitor, and

    ieee research papers on mosfet

  3. (PDF) MOSFET mobility degradation modelling

    ieee research papers on mosfet

  4. (PDF) A comprehensive MOSFET mismatch model

    ieee research papers on mosfet

  5. (PDF) The impact of MOSFET’s physical parameters on its threshold

    ieee research papers on mosfet

  6. (PDF) Analytical Modeling and Comparison of Triple Gate MOSFET with

    ieee research papers on mosfet

VIDEO

  1. Image Processing Course in 2 hours

  2. MOSFET DESIGN PARAMETERS- Lecture 3-Narrow channel effects

  3. How to download IEEE research papers for free ||How to download IEEE paper free without access ||

  4. How to solve mosfet circuits

  5. How to Access IEEE Research Papers and Articles for Free

  6. n-MOSFET: Understanding the Basics of Transistor Switching

COMMENTS

  1. Comparative Study on Degradation of the TFET and MOSFET

    This paper compares the characteristics of MOSFET and TFET devices fabricated under the same process conditions. From the experimental results, it can be seen that after a period of degradation, the interface state density of the device increases, and the on-state current has a small increase, but the off state current of the TFET has the degradation obviously. The subthreshold swing also ...

  2. The Research of MOSFETs Based on Different Gate Stack ...

    This paper is to summarize the way to compare the performance of MOSFET devices and conclude a current better structure of it. It concludes the parameters that can be used to compare the performance of different dielectric gate stack technologies, such as Drain-induced barrier lowering, Subthreshold Swing, threshold voltage, and so on, and ...

  3. PDF A 30 Year Retrospective on Dennard's MOSFET Scaling Paper

    Bohr is a Fellow of the Institute of Electrical and Electronics Engineers and was the recipient of the 2003 IEEE Andrew S. Grove award. In 2005 he was elected to the National Academy of Engineering. He holds 42 patents in the area of integrated circuit processing and has authored or co-authored 40 published papers. 13.

  4. Design and Performance Analysis of Advanced MOSFET Structures

    This paper presents 2D ATLAS simulation of high-K gate dielectric engineered Double gate metal oxide field effect transistor (DGMOSFET). ... Tunable work function in fully nickel-silicided polysilicon gates for metal gate MOSFET applications. IEEE Electron. Device Lett. 26, 87-89 ... MEMS Research Center, Koneru Lakshmaiah Education ...

  5. A hybrid III-V tunnel FET and MOSFET technology platform ...

    In 2018 Symposium on VLSI Technology Digest of Technical Papers 165-166 (IEEE, 2018). Czornomaz, L. et al. Co-integration of InGaAs n- and SiGe p-MOSFETs into digital CMOS circuits using hybrid ...

  6. (PDF) A comparative study of advanced MOSFET concepts

    Abstract. Metal-Oxide-Semiconductor Field Effect Transistor (MOSFET) has been the major device for integrated circuits over the past two decades. With technology advancement, there have been ...

  7. Design and comparative analysis of active-loaded ...

    This research paper proposes the design of an active-loaded differential amplifier using the Double-Gate (DG) MOSFET. This differential amplifier employs feedback and simplifies a previously designed topology by reducing it to a single-ended output instead of a differential one. Other topologies have been referred to determine the benchmark of this design work. The DG MOSFET has been utilized ...

  8. Advancement and challenges in MOSFET scaling

    2. The author Amisha goel has second author she helps to writing this research paper as well as prepare some diagram. 3. The author Gargi Kaushik has third author she helps to writing this research paper and arrange the references in proper way. 4. The author Hardik garg has fourth author and he helps to writing this research paper. 5.

  9. Review of FinFET Devices and Perspective on Circuit Design ...

    In recent technology, the demand for 3D multiple-gate MOSFETs such as FinFETs increase. In this paper, FinFETs are explored and reviewed. The scaling of planar MOSFET below 32nm technology increases the short channel effects (SCE). To improve the concert in low-power VLSI logic circuits and reduced the SCEs, we need enhanced gate controlling over the channel by using multigate technology. Here ...

  10. 3D-Stacked CMOS Takes Moore's Law to New Heights

    But in a keynote at the IEEE International Electron Devices Meeting (IEDM) in 2019, we introduced the concept of a 3D-stacked transistor that places the NMOS transistor on top of the PMOS ...

  11. (PDF) A Review on Power MOSFET Device Structures

    The paper presents the comprehensive review on the various Power MOSFET structures that have been developed during the past decade. Various structures of Power MOSFET like LDMOS, VDMOS, V-Groove ...

  12. A Comprehensive Review on FinFET in Terms of its Device ...

    The revolutions made in the CMOS technology are brought up by, continuous downscaling in order to obtain higher density, better performance and low power consumption, causing deleterious Short Channel Effects. Planar MOSFET's have faced very hard challenges in the nanometer space, when ever the channel 4length happens to be in the same order of magnitude like the depletion-layer widths of ...

  13. (PDF) Review of FinFET Devices and Perspective on ...

    In recent technology, the demand for 3D multiple-gate MOSFETs such as FinFETs increase. In this paper, FinFETs are explored and reviewed. The scaling of planar MOSFET below 32nm technology ...

  14. Comparative Analysis of MOSFET, FINFET and GAAFET Devices ...

    All the devices under consideration consist of an n+ source and n+ drain region.The 2D/3D views of all the devices designed in this work are shown in Fig. 1.Here, Fig. 1a shows the 2D view of the MOSFET device, and the 3D view of the tri-gate FINFET designed in this work is displayed in Fig. 1b. The integration of tri-gate increases the gate controllability more than a double-gate FINFET ...

  15. Device scaling limits of Si MOSFETs and their ...

    Device scaling limits of Si MOSFETs and their application dependencies for Proceedings of the IEEE by David J. Frank et al. ... This paper presents the current state of understanding of the factors that limit the continued scaling of Si complementary metaloxide-setniconductor (CMOS) technology and provides an analysis of the ways in which ...

  16. (PDF) Understanding MOSFET

    MOSFET stands for Metal Oxide Semiconductor Field Effect T ransistor. It is a gate - insulated field. effect transistor. Its mechanics are such that it is used as a voltage controlled current ...

  17. MOSFET Memory Circuits for Proceedings of the IEEE

    Abstract. Metal-oxide-semiconductor first effect transistors (MOSFETs) are currently being used in a variety of memory applications. The requirements of memory usage and the characteristics of MOSFET devices and technology have led to a number of unique circuits for these applications. Organization and design considerations of memory systems ...